It seems only yesterday that we saw Intel introduce their 22nm FinFET technology, and now we are going all the way down to 5nm.  This is obviously an exaggeration.  The march of process technology has been more than a little challenging for the past 5+ years for everyone in the industry.  Intel has made it look a little easier by being able to finance these advances a little better than the other pure-play foundries.  It does not mean that they have not experienced challenges on their own.

We have seen some breakthroughs these past years with everyone jumping onto FinFETs with TSMC, Samsung, and GLOBALFOUNDRIES introducing their own processes.  GLOBALFOUNDRIES initially had set out on their own, but that particular endeavor did not pan out.  The ended up licensing Samsung’s 14nm processes (LPE and LPP) to start producing chips of their own, primarily for AMD in their graphics and this latest generation of Ryzen CPUs.

These advances have not been easy.  While FinFETs are needed at these lower nodes to continue to provide the performance and power efficiency while supporting these transistor densities, the technology will not last forever.  10nm and 7nm lines will continue to use them, but many believe that while we will see the densities improve, the power characteristics will start to lag behind.  The theory is that past 7nm nodes traditional FinFETs will no longer work as desired.  This is very reminiscent of the sub 28nm processes that attempted to use planar structures on bulk silicon.  In that case the chips could be made, but power issues plagued the designs and eventually support for those process lines were dropped.

IBM and their research associates Samsung, GLOBALFOUNDRIES at SUNY Polytechnic Institute Colleges of Nanoscale Science and Engineering’s NanoTech Complex in Albany, NY have announced a breakthrough in a new “Gate-All-Around” architecture made on a 5nm process.  FinFETs are essentially a rectangle surround on three sides by gates, giving it the “fin” physical characteristics.  This new technology now covers the fourth side and embeds these channels in nanosheets of silicon.

The problem with FinFETs is that they will eventually be unable to scale with power as transistors get closer and closer.  While density scales, power and performance will get worse as compared to previous nodes.  The 5nm silicon nanosheet technology gives a significant boost to power and efficiency, thereby doing to FinFETs what they did with planar structures at the 20/22nm nodes.

One of the working EUV litho machines at SUNY Albany.

IBM asserts that the average chip the size of a fingernail can contain up to 30 billion transistors and continue to see the density, power, and efficiency improvements that we would expect with a normal process shrink.  The company expects these process nodes to start rolling out in a 2019 time frame if all goes as planned.

There are few details in how IBM was able to achieve this result.  We do know a couple things about it.  EUV lithography was used extensively to avoid the multi-patterning nightmare that this would entail.  For the past two years Ametek has been installing 100 watt EUV litho machines throughout the world to select clients.  One of these is located on the SUNY Albany campus where this research was done.  We also know that deposition was done layer by layer with silicon and the other materials.

What we don’t know is how long it takes to create a complete wafer.  Usually these test wafers are packed full of SRAM and very little logic.  It is a useful test and creates a baseline for many structures that will eventually be applied to this process.  We do not know how long it takes to produce such a wafer, but considering how the layers look to be deposited it takes a long, long time with current tools and machinery.  Cutting edge wafers in production can take upwards of 16 weeks to complete.  I hesitate to even guess how long each test wafer takes.  Because of the very 3D nature of the design, I am curious as to how the litho stages work and how many passes are still needed to complete the design.

This looks to be a very significant advancement in process technology that should be mass produced in the timeline suggested by IBM.  It is a significant jump, but it seems to borrow a lot of previous FinFET structures.  It does not encompass anything exotic like “quantum wells”, but is able to go lower than the currently specified 7nm processes that TSMC, Samsung, and Intel have hinted at (and yes, process node names should be taken with a grain of salt from all parties at this time).  IBM does appear to be comparing this to what Samsung calls its 7nm process in terms of dimensions and transistor density.

Cross section of a 5nm transistor showing the embedded channels and silicon nanosheets.

While Moore’s Law has been stretched thin as of late, we are still seeing these scientists and engineers pushing against the laws of physics to achieve better performance and scaling at incredibly small dimensions.  The silicon nanosheet technology looks to be an effective and relatively affordable path towards smaller sizes without requiring exotic materials to achieve.  IBM and its partners look to have produced a process node that will continue the march towards smaller, more efficient, and more powerful devices.  It is not exactly around the corner, but 2019 is close enough to start planning designs that could potentially utilize this node.